vendredi 22 décembre 2017

Burnin' rubber FPGA arcade game on DE10 lite

Burnin' rubber VHDL source code available at :

https://sourceforge.net/projects/darfpga/files/Software%20VHDL/burnin_rubber

DE10 lite top level with PS/2 keyboard and PWM sound on GPIO (USB keyboard and SGTL5000 audio out available with additional hardware).

Just bring your own roms.

dimanche 5 novembre 2017

Time pilot FPGA arcade game on DE10_lite

Time pilot VHDL source code available at :

https://sourceforge.net/projects/darfpga/files/Software%20VHDL/time_pilot

DE10_lite top level with PS/2 keyboard input and PWM sound output on GPIO (USB keyboard and SGTL5000 audio out available with additional hardware).

Bring your own roms.

jeudi 25 mai 2017

C64 FPGA64_027 with C1541 sd card read/write sources available

FPGA64 + read/write D64 from/to raw SD card for DE10 Lite.

Tested write operations OK :
  • remane file (DOS)  : OPEN15,8,15,"R:NEWNAME=OLDNAME"
  • delete file (DOS)  : OPEN15,8,15,"S:NAME"
  • copy   file (DOS)  : OPEN15,8,15,"C:NEWFILE=OLDFILE"
  • save basic program : SAVE"NAME",8

Don't ever use c1541 NEW (format) command, it is absolutly NOT supported and will lead to data loss.

https://sourceforge.net/projects/darfpga/files/Software%20VHDL/C64_and_1541_SD


Dev. place - PC display / DE10 Lite / TV set

DE10 Lite + USB host + teensy audio shield (digital audio and micro sd card slot) + SRAM on GPIO
Connexions : USB blaster, audio jack, USB keyboard, video RGB 15KHz to SCART



Impossible Mission

lundi 1 mai 2017

Xevious FPGA arcade game - MAX10 - DE10_lite - update

Xevious DE10 Lite update 

Now USB Host for USB keyboard/joystick inputs available using Arduino USB host shield. USB HID report is displayed on 7-Segments display to ease differents joysticks adaptation. Nios processor not used, simple VHDL sequencer.

Digital audio out available using Teensy audio adapter. I2C config uses VHDL sequencer.

VHDL source code available here : https://sourceforge.net/projects/darfpga/files/Software%20VHDL/xevious/

See other articles below for hardware details.

Digital audio DAC and SD card on DE10 Lite with Teensy audio shield

Teensy audio shield wired on top of USB Host arduino shield and mounted on DE10 Lite FPGA board. See wiring schematic below. Audio chip SGTL5000 allows to get audio output on headphone plug from FPGA I2S digital format. Line out may be connected by adding header. SD card is also wired.  

USB Host and audio shield on DE10 Lite

USB Host and audio shield on DE10 Lite

Audio shield on top of USB Host shield
(blue female header welded on USB Host PCB)

Audio shield on top of USB Host shield
(show 9/10 pins line in/out header holes)
 

 

Wiring of Audio shield : BEWARE First pad column are Max3421e GPIO outputs, NOTHING must be connected there !

Wiring schematic
SPI MISO, MOSI and SCLK are shared between USB Host max3421e and SD Card. They have separated chip select (CS-SD and CS-USB). Optional flash memory SPI chip select (CS-MEM) is not wired to FPGA board.

SGTL5000 config lines use I2C protocol (SDA/SCL). These lines are wired to FPGA board.

SGTL5000 digital audio I2S interface signals MCLK, LRCLK, BLCK and I2S in (TX) are wired to FPGA board.

SGTL5000 digital audio I2S out (RX) is not connected to FPGA board. Teensy board VOL pin is not wired.

mercredi 12 avril 2017

USB host on DE10 Lite with max3421e arduino shield


Arduino USB host shield (sparkfun) on top of DE10 Lite (Terasic). Beware shield has to be modified : see below.

Example source code with Nios II processor available at :  https://sourceforge.net/projects/darfpga/files/Software%20VHDL/usb_host


Side view (usb dongle plugged in)












Top view





Vin from DE10 board is 5V. LD1117 voltage regulator has to be bypassed. Blue rectangle shows this bypass : additional white wire.

ON/OFF switch has to be kept on OFF position. Green circle shows switch position.


Modified shield


jeudi 9 mars 2017

Xevious FPGA arcade game - MAX10 - DE10_lite - update

Now video tested Ok (15KHz signal to TV set SCART)
NO external RAM required.
Keyboard and sound output not tested yet (required external hardware on GPIO)

dimanche 5 mars 2017

Xevious FPGA arcade game - MAX10 - DE10_lite

Experimental version for MAX10 FPGA - DE10_lite board, not tested at all (no board available).
No external SRAM required. Be careful with gpio connection, must use voltage translator or limiting diodes to avoid board damages.

dimanche 29 janvier 2017

Xevious FPGA arcade game on DE2-35

Xevious VHDL source code available at https://sourceforge.net/projects/darfpga/files/Software%20VHDL/xevious
 

 PS/2 keyboard, 15kHz TV mode (only) with sound but no ship explosion ship explosion ok since 15-02-2017

Just add your own roms.